Xilinx ISE.

Komplette und sehr fortgeschrittene ECAD-Anwendung.
Jetzt downloaden

Xilinx ISE. Ranking & Zusammenfassung

Anzeige

  • Rating:
  • Lizenz:
  • Freeware
  • Name des Herausgebers:
  • Xilinx
  • Betriebssysteme:
  • Windows
  • Dateigröße:
  • Free
  • Veröffentlichungsdatum:
  • 2021-05-30 21:48:35

Xilinx ISE. Stichworte


Xilinx ISE. Beschreibung

pflanzig Nächste Xilinx ISE ist ein komplettes Ecad (elektronisches computergestütztes Design). Es hat den Mehrwert, vom weltweit größten Anbieter von programmierbaren Logikgeräten und natürlich frei zu sein. Diese Anwendung hilft Ihnen, integrierte Schaltungen zu gestalten, zu testen und zu debuggen. Wenn Sie jemals versucht haben, jede Art von elektronischer Kreislauf zu entwerfen und zu implementieren, können Sie Kabel, LEDs und Chips anschließen, wissen Sie, dass Sie so ziemlich bald mit einem großen und verwirrenden Haufen kleiner Kabeln enden. Es sind einige Anwendungen verfügbar, die Ihnen dabei helfen, Dinge in der Perspektive zu halten, um den Kreislauf auf Ihrem Bildschirm zu senken. Dies ist auf viel Arten hilfreich, nämlich weil Sie Breadboards Fehlfunktionen vergessen können, oder um Stunden für einen verbrannten (und vergessenen) Chip zu suchen. Nun, Xilinx ISE nimmt diese Idee einfach auf die nächste Ebene (oder mehrere Ebenen oben). Sie haben Zugriff auf Hunderte industrieller Standardchips, von den Standard- und oder nicht der Tore, den ganzen Weg bis zu den komplexeren (einschließlich Multiplexer, Zähler usw.). Wenn Sie nicht finden, was Sie brauchen, können Sie es entwerfen und genauso wie ein anderer Chip aus der Bibliothek verwenden. Nach der Entwurfsphase können Sie Ihr Design testen, die Eingabewerte ändern und die Ergebnisse überprüfen. Dies dauert einige Zeit, da jede Schaltung vollständig kompiliert werden muss, bevor ein Test durchgeführt werden kann. Wie Sie bereits abgeschlossen sind, ist dies kein Programm für den Anfänger. Die Benutzeroberfläche, obwohl sauber und voller netter Tasten, kann die Komplexität der zugrunde liegenden Prozesse nicht verbergen. Sie benötigen höchstwahrscheinlich das Bedienungsanleitung. Dies ist ein sehr fortschrittliches Programm, das von Ingenieuren auf Produktionsniveau verwendet werden soll. ein Wort der Ratschläge: Speichern Sie Ihre Arbeit jedoch häufig. Xilinx wird beim Kompilieren Ihrer Arbeit wiederholt stürzt. Es erkennt Ihre gespeicherten Dateien nicht und kann Ihre Daten nicht lesen. Versuchen Sie, alles in einem einfachen Ordner in der Wurzel Ihrer Festplatte zu speichern, keine Zeichen neben Buchstaben und keine Leerzeichen, so einfach wie möglich, und vielleicht haben Sie Glück. Ich habe es immer gelungen, meine Arbeit zurückzubekommen, aber ich möchte sicherlich nicht täglich mit dieser Anwendung arbeiten müssen. Sie müssen sich registrieren, um das Programm herunterladen zu können. Fernando César. Editorwertung:


Xilinx ISE. Zugehörige Software