Ikarus verilog.

Verilog-Simulation und Synthesewerkzeug
Jetzt downloaden

Ikarus verilog. Ranking & Zusammenfassung

Anzeige

  • Rating:
  • Lizenz:
  • GPL
  • Preis:
  • FREE
  • Name des Herausgebers:
  • Stephen Williams
  • Website des Verlags:
  • http://icarus.com/eda/verilog/
  • Betriebssysteme:
  • Mac OS X
  • Dateigröße:
  • 1.1 MB

Ikarus verilog. Stichworte


Ikarus verilog. Beschreibung

Verilog-Simulation und Synthesewerkzeug Icarus Verilog ist ein Verilog-Simulation und Synthesewerkzeug. Es arbeitet als Compiler, der Quellcode-Write in Verilog (IEEE-1364) in ein gewisses Zielformat kompiliert. Bei der Batch-Simulation kann der Compiler ein Zwischenformular generieren, der als VVP-Anordnung bezeichnet wird. Dieses Zwischenformular wird vom Befehl `'' '' ausgeführt. Für die Synthese erzeugt der Compiler Netlisten in dem gewünschten Format. Der ordnungsgemäße Compiler ist dazu gedacht, Designbeschreibungen auf dem IEEE-Standard IEEE STD 1364-2001 auszuarbeiten und zu analysieren. Der eigentliche Standard wurde in Richtung Mitte des Jahres 2001 veröffentlicht, jedoch in einem eher teuren elektronischen Formular. Dies ist ein ziemlich großer und komplexer Standard, sodass es einige Zeit dauert, bis er dorthin kommt, aber das ist das Ziel.Nache: Icarus Verilog ist ein laufender Arbeit, und da der Sprachstandard nicht immer noch stillsteht, wird es wahrscheinlich immer sein.


Ikarus verilog. Zugehörige Software