HDLMaker.

HDLMaker ist ein Verilog / VHDL-Codegenerator und ein FPGA-Entwicklungssystem.
Jetzt downloaden

HDLMaker. Ranking & Zusammenfassung

Anzeige

  • Rating:
  • Lizenz:
  • BSD License
  • Preis:
  • FREE
  • Name des Herausgebers:
  • Joshua Rosen
  • Website des Verlags:
  • http://www.polybus.com/hdlmaker/users_guide/

HDLMaker. Stichworte


HDLMaker. Beschreibung

HDLMaker ist ein Verilog / VHDL-Codegenerator und ein FPGA-Entwicklungssystem. HDLMaker ist ein Werkzeug zum Generieren von Verilog-Designs. HDLMaker vereinfacht die Entwicklung komplexer FPGA-Designs sowie PC-Boards, indem er folgende Aufgaben ausführt: · Schreibt hierarchischer Verilog-Code · Erzeugt retargetable IO-Pad-Ringe · Erzeugt alle erforderlichen Skripts und erstellt Dateien · Unterstützt MulitLanguage-Projekte · Konvertiert Leiterlisten von MulitLanguage · Konvertiert PCB-Netzlisten in VHDL und Verilog · Erzeugt Netlisten von Scald und Pads. Merkmale von "HDLMaker": · Schreibt hierarchische Verilog. · Die Ausgabe kann entweder auf Verilog oder VHDL gezielt sein (VHDL-Unterstützung wurde veraltet). · Unterstützt die Entwicklung der gemischten Sprache. · Erzeugt PC-Platinen-Netlisten in Pads PCB und Scald-Formate. · Erzeugt Schematik im PostScript-Format. · Unterstützt die beliebtesten FPGAS · Xilinx virtex4, virtex2p, virtex2, virtexe, virtex, spartan3, spartan2.4000e, 4000ex, 4000xl, 5200.9500, Altera Stratix · Unterstützt die beliebtesten Synthesizer · Synplifikation · Xilinx XST · Altera · Synopsys Design-Compiler · Präzision · Unterstützt die meisten Simulatoren · FINTRONICS FINSIM · Cadence Verilog XL · Cadence NC-SIM · Modelltechnologien (VHDL und Verilog) · Synopsys Vcs · HTML-Generierung · HDLMaker generiert eine HTML-Version des Designs mit Hyper-Links aus allen Quelldateien zu generierten Dateien und von allen Komponenteninstanzen zum Komponentenmodul. Verilog und vhdl HTMlized sind auch Syntax farbig. Was in dieser Version neu ist: · Insert_Compare, fügt ein Modul mit einem Vergleichs-Wrapper ein. · Zusätzlich HDLMaker_Alle_sub-Variable hinzugefügt Support einschließlich differentialer DDR · Verbesserter Xilinx-Projektunterstützung · VIRTEX4-Unterstützung · Bessere ModelleSIM-Unterstützung. Erstellt drei Befehlsdateien, foo_compile_mt.cmd, um die Module, foo_i_mt.cmd für interaktive Verwendung zu kompilieren, und foo_batch_mt.cmd für Batch-Simulation. · Die Anfangswerte von HDLMaker-Variablen können aus der Befehlszeile oder aus einer Datei weitergegeben werden. · Bessere Kommentarunterstützung · Mehr flexiblere #TLOCK-Anweisung · Kommentare in PIN-Dateien · Unterstützung für Xilinx-ISE 6.1 · Unterstützung für VIRTEX2P · Unterstützung für Präzisions- und Models Unterstützung hinzugefügt · Mehrsprachiger Projektunterstützung. Kann VHDL-Entitäten in Verilog-Dateien und Verilog-Module in VHDL-Dateien einbetten.


HDLMaker. Zugehörige Software

GNU Clisp.

GNU CLISP ist eine Ansi-Common-LISP-Implementierung mit einem Interpreter, Compiler, Debugger, Objektsystem. ...

206

Herunterladen

Gretl

Gretl ist ein plattformübergreifendes Softwarepaket für ökonometrische Analyse, das in der Programmiersprache C geschrieben wurde. ...

151

Herunterladen