Verilog zum VHDL-Konverter

Verilog an den VHDL-Konverter
Jetzt downloaden

Verilog zum VHDL-Konverter Ranking & Zusammenfassung

Anzeige

  • Rating:
  • Lizenz:
  • GPL
  • Name des Herausgebers:
  • Kanai Lal Ghosh
  • Betriebssysteme:
  • Windows All
  • Dateigröße:
  • 22.3 MB

Verilog zum VHDL-Konverter Stichworte


Verilog zum VHDL-Konverter Beschreibung

Sie können leicht herausfinden, welchen Verilog-an-VHDL-Konverter, indem Sie seinen Namen lesen. Es ist eine kleine Anwendung, die Sie beabsichtigen, um Ihnen eine bequeme Möglichkeit zu geben, den Verilog-Code in die Programmiersprache VHDL zu konvertieren. Sowohl Verilog als auch VHDL sind Hardwarebeschreibung Sprachen, die ihren praktischen Einsatz in elektronischen Systemen und der Circuit-Modellierung und -programmierung finden. Verilog to VHDL Converter ist ein HDL-Übersetzer, der sich für alle Elektronikdesigner nützlich erweisen kann, wodurch die genaue Übersetzung von Verilog-Designs in VHDL-kompatible Standards ermöglicht wird. Einige von Ihnen bevorzugen sicherlich eine manuelle Konvertierung zur Verwendung eines dedizierten Werkzeugs, und Sie haben möglicherweise einen Punkt dort, da das generierte VHDL möglicherweise nicht ordnungsgemäß funktioniert, wodurch zusätzliche manuelle Berichtigungen erforderlich sind, die datentyp Kompatibilität gewährleisten sollen. Die Anwendung ist in Java aufgebaut und kann mehrere Verilog-Dateien gleichzeitig verarbeiten. Wenn sich die Eingabedateien in zufälliger Reihenfolge befinden, müssen Sie die Funktion "Sortieren" vor dem Fortfahren verwenden. Es gibt Befehle zum Erstellen von Ausschlusslisten, erzeugt eine Entität oder eine Komponente ausschließlich (die einem bestimmten Oberteil oder Modul entsprechend). Verilog an den VHDL-Konverter kann nur mit der Befehlskonsole ausgeführt werden, dies sollte jedoch für diejenigen, die mit Sprachen wie Verilog und VHDL arbeiten, nicht unbeschädigt sein, um mit der einfachen Syntax mitzuteilen. Das Paket wird mit einem Beispiel geliefert, um demonstrieren, wie die Konvertierung tatsächlich ausgeführt wird. Eine Eingabe Verilog-Datei verläuft durch einen Vorverarbeitungsvorgang (die entsprechende RTL-Datei wird lokal gespeichert) und dann geht der Antrag zum Analysieren ab. Verilog an den VHDL-Konverter ist besonders nützlich für Designer, die in einer Umgebung arbeiten, die mit beiden Sprachen umgehen können. Alternativ kann es in Anwendungen integriert werden, die nur mit VHDL kompatibel sind. Bewertet von Mihaela Citea, letztes Updated am 4. Januar 2014


Verilog zum VHDL-Konverter Zugehörige Software

Janta

Kompilieren Sie einen Teil Ihres Java-Projekts mithilfe dieses Tools. ...

131 258 KB

Herunterladen