Simulink HDL-Codierer.

Generieren Sie HDL-Code von Simulink-Modellen und MATLAB-Code.
Jetzt downloaden

Simulink HDL-Codierer. Ranking & Zusammenfassung

Anzeige

  • Rating:
  • Lizenz:
  • Shareware
  • Name des Herausgebers:
  • The MathWorks, Inc.
  • Website des Verlags:
  • Betriebssysteme:
  • Windows XP/2000/98
  • Dateigröße:
  • N/A

Simulink HDL-Codierer. Stichworte


Simulink HDL-Codierer. Beschreibung

Bearbeiten von Simulink HDL-Codierer Erzeugt Bit-True, Cycle-Accurating, synthetischem Verilog und VHDL-Code von Simulink-Modellen, Stateflow-Charts und eingebettetem Matlab-Code. Der automatisch generierte HDL-Code ist target unabhängig. Simulink HDL Coder Erzeugt Verilog-Code, der dem IEEE 1364-2001-Standard- und VHDL-Code entspricht, der dem IEEE 1076-Standard entspricht. Infolgedessen können Sie den automatisch generierten HDL-Code mit beliebten funktionalen Verifizierungsprodukten überprüfen, einschließlich Cadence® Incisive®, Mentor Graphics® ModelIm® und SynObSys® VCS®. Sie können den automatisch generierten HDL-Code auch in Feld-programmierbaren Gate-Arrays (FPGAs) oder anwendungsspezifischen integrierten Schaltungen (ASICS) mit populären Synthesewerkzeugen wie Altera® Quartus® II, Cadence Encluster® RTL-Compiler, Mentor Graphics®-Präzision ®, Synopsys Design Compiler®, Synplicity® Synplify® und XilinX® ISE . Simulink HDL Coder erzeugt auch HDL-Testbänke, mit denen Sie den generierten HDL-Code mithilfe von HDL-Simulationstools überprüfen können. Hauptmerkmale Erzeugt synthesizierbarer HDL-Code von Simulink-Modellen und eingebettetem Matlab -Code für DataPath-Implementierungen Erzeugt synthesizierbarer HDL-Code aus Stateflow-Charts für makellose und Moore-Finite-State-Maschinen und Steuerungslogik-Implementierungen Erzeugt VHDL-Code, der IEEE 1076-kompatibel und verilog-Code ist, der IEEE 1364-2001 konform ist Hiermit können Sie bit-true- und zyklusgenaue Modelle erstellen, die Ihren Simulink-Design-Spezifikationen entsprechen Hiermit können Sie von mehreren HDL-architektonischen Implementierungen für häufig verwendete Blöcke auswählen Können Sie das Subsystem für die HDL-Code-Generierung angeben Ermöglicht das Wiederverwenden des vorhandenen IP-HDL-Codes (mit EDA-Simulator-Link-Produkten) Erzeugt Simulations- und Syntheseskripts


Simulink HDL-Codierer. Zugehörige Software