Active-HDL.

Windows-basierte, integrierte FPGA-Design-Erstellung.
Jetzt downloaden

Active-HDL. Ranking & Zusammenfassung

Anzeige

  • Rating:
  • Lizenz:
  • Demo
  • Name des Herausgebers:
  • Aldec
  • Betriebssysteme:
  • Windows
  • Veröffentlichungsdatum:
  • 2021-06-09 14:09:30

Active-HDL. Stichworte


Active-HDL. Beschreibung

Erstellen Sie Designs und Simulationen mit mehr als 120 EDA- und FPGA-Tools, die bei Designeintritt, Simulation, Synthese und Implementierungsströmen helfen. Das einheitliche teambasierte Design-Management-Modul unterhält die Verbindung zwischen lokalen und Remote-Design-Teams. Active-HDL ist eine Windows-basierte, integrierte FPGA-Design-Erstellung und Simulationslösung für teambasierte Umgebungen. Active-HDLs integrierter Konstruktionsumgebung (IDE) umfasst eine vollständige HDL- und Grafik-Design-Tool-Suite- und RTL / Gate-Level-Mischsprachungssimulator für schnelle Bereitstellung und Überprüfung der FPGA-Designs.


Active-HDL. Zugehörige Software

LPSolve IDE.

Mit seiner flexiblen Benutzeroberfläche können Sie Ihr IP-Modell in vielen Formaten konvertieren. ...

0 2.9 MB

Herunterladen